EDA厂商及工具概述

今天小F继续科普篇来到近年最火的EDA行业,我们从EDA概念/厂商/工具来简单了解下EDA。

1、EDA概念

EDA即电子设计自动化,是指利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、验证、物理设计(包括布局、布线、版图、设计规则检查等)等流程的设计方式。EDA能够大幅减少研发人员工作量,极大提升集成电路设计效率,缩短周期并且节约成本。

2、EDA厂商

EDA三巨头主要指EDA市场份额占据前三位的三家企业Synopsys、Cadence和Mentor Graphics(被西门子收购)。

EDA三巨头明细

Synopsys(新思科技)成立于1986年,由 Aart de Geus 带领通用电气公司微电子研究中心的工程师团 队创立,在2008年成为全球排名第一的 EDA 软件工具领导厂商,为全球电子市场提供技术先进的集成 电路设计与验证平台。
Synopsys 在 EDA 行业的市场占有率约 30%,它的逻辑综合工具 DC 和时序分析 工具 PT 在全球 EDA 市场几乎一统江山。

Cadence(铿腾电子)是EDA行业销售排名第二的公司,在1988年由SDA与ECAD两家公司兼并而 成,Cadence通过不断扩展、兼并、收购,到1992年已占据 EDA行业龙头地位,但到2008年被Synopsys超越。

Cadence产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路综合及布局布线、IC物理验证、模拟混合信号及射频集成电路设计、全定制集成电路设计、PCCE设计和硬件仿真建模等 致力于为客户提供电子设计自动化、软件、硬件以及解决方案等服务,旨在帮助其缩短将电子设备打入市场的时间和成本。
全球知名半导体与电子系统公司均将Cadence软件作为其全球设计的标准。Cadence的Virtuso 工具历经27年不衰,成为业内传奇。

Mentor Graphics(明导国际,2016年被德国西门子收购)1981年成立,90年代遇到经营困境,软件的研发严重落后于进度,大量长期客户流失,难以与其他两家公司竞争。直到94年公司组织结构大调整后,才重新崛起。

Mentor Graphics是一家 EDA软件和硬件公司,也是电路板解决方案的市场领导者,主要提供电子设计自动化先进系统电脑软件与模拟硬件系统。Mento的工具虽没有前两家全面,没有涵盖整个芯片设计和生产环节,但在有些领域,如PCB(印刷电路板)设计工具等方面有相对独到之处。

三巨头间的优劣势比较

EDA公司提供给 IC公司的一般都是全套工具,因此EDA集成度高的公司产品更有优势。三巨头基本都能提供全套的芯片设计EAD解决方案。

Synopsys 最全面,它的优势在于数字前端、数字后端和 PT signoff。模拟前端的 XA,数字前端的 VCS, 后端的 sign-off tool,还有口碑极好的 PT、DC 和 ICC 功能都很强大。Synopsys有垄断市场 90%的 TCAD 器件仿真和垄断 50%的DFM工艺仿真,这是其在 EDA 产业竞争中的一把利器。

Cadence 的强项在于模拟或混合信号的定制化电路和版图设计,功能很强大,PCB 相对也较强,但是 Sign off 的工具偏弱。

Mentor Graphic也是在后端布局布线这块比较强,在 PCB 上也很有优势,它的优势是 Calibre signoff 和 DFT,但 Mentor Graphic 在集成度上难以与前两家抗衡。

此外,除了卖 license以外,EDA 企业还可以提供IP授权(硬核和软核),这个对于很多中小规模的设计公司是很有吸引力的。授权的IP通常有 memory,Serdes 和 Power management 之类的研发成本或门槛相对较高的硬核。

Mentor在IP业务上和 Synopsys与 Cadence几乎没有竞争力,目前Synopsys企业的IP业务全球排名第二,Cadence企业的IP业务销售额也在逐年增加。

小结:
Cadence的优势在于模拟设计和数字后端。Synopsys的优势在于数字前端、数字后端和PT signoff。 Mentor的优势是Calibre signoff和DFT。

国内的EDA厂家

华大九天

北京华大九天科技股份有限公司(简称“华大九天”)成立于2009年,致力于面向半导体行业提供一站式EDA及相关服务,是目前国内规模最大、技术实力最强的 EDA龙头企业。在 EDA 方面,华大九天可提供模拟/数模混合IC设计全流程解决方案、数字 SoC IC设计与优化解决方案、晶圆制造专用EDA 工具和平板显示(FPD)设计全流程解决方案,拥有多项全球独创的领先技术。
围绕 EDA 提供的相关服务包括晶圆制造工程服务及设计支持服务,其中晶圆制造工程服务包括PDK 开发、模型提取以及良率提升大数据分析等。华大九天总部位于北京,在南京、上海、成都和深圳设有全资子公司,并在日本、韩国、东南亚等地设有分支机构。 

概伦电子

概伦电子成立于2010年,该公司能够提供高端半导体器件建模、大规模高精度集成电路仿真和优化、低频噪声测试和一体化半导体参数测试解决方案,客户群体覆盖绝大多数国际知名的集成电路设计与制造公司。
概伦电子致力于提升先进半导体工艺下高端芯片设计工具的效能,属于在国产 EDA公司中少数在“点工具”上达到国际一流水准的公司。公司拥有众多全自主知识产权的EDA技术和产品,致力打造存储器设计全流程EDA,实现DTCO(设计工艺协同优化)真正落地的从数据到仿真的创新 EDA 解决方案。公司于2019年底并购北京博达微科技,并于2020年初完成由兴橙资本和 Intel资本共同领投的 A 轮融资。 

广立微

杭州广立微成立于2003年,是国内较早期进入芯片成品率与良率分析EDA工具领域的国产EDA公司。
公司提供EDA软件、电路 IP、WAT 电性测试设备以及与芯片成品率提升技术相结合的整套解决方案,在集成电路设计到量产的整个产品周期内实现芯片性能、成品率、稳定性的提升,成功案例覆盖多个集成电路先进工艺节点。

芯禾科技

芯禾科技成立于2010年,专注电子设计自动化 EDA 软件、集成无源器件IPD和系统级封装SiP微系统的研发。芯禾主要为半导体芯片设计公司和系统厂商提供差异化的软件产品和芯片小型化解决方案,包括高速数字设计、IC 封装设计、和射频模拟混合信号设计等。其中,芯禾的EDA产品以仿真为主,包括高速仿真解决方案、芯片仿真解决方案、高级封装仿真解决方案、云平台仿真解决方案等。芯禾科技总部位于苏州。
2019年10月9日,芯禾宣布在上海张江成立“芯和半导体科技(上海)有限公司”,并将芯禾科技纳入芯和半导体旗下,同时正式启用全新的 EDA 软件品牌名称“芯和”。 

国微集团

国微集团起源于1993年,是一家半导体控股集团,其业务主要覆盖安全芯片设计及应用、集成电路电子设计自动化系统研发及应用、FPGA 快速原型验证及仿真系统研发及应用以及第三代半导体产品研发和生产等。
2018年,国微集团开始专注于芯片设计全流程 EDA 系统开发与应用,研究内容是面向先进工艺和国产高端芯片的需求,开发一套数字芯片设计含硬件仿真的全流程 EDA 系统。

苏州珂晶达 

苏州珂晶达是国内提供器件工艺仿真与分析的国产 EDA 公司,也就是我们俗称的 TCAD 工具,属于制造阶段的工艺仿真 EDA 工具,主要针对国产工艺,提供半导体器件仿真、辐射传输和效应仿真等技术领域的数值计算软件和服务,针对太空、宇航以及对辐射有特殊要求的相关科研单位,提供器件级辐射解决方案。 

九同方微电子

湖北九同方微电子有限公司创立于2011年,源自硅谷,集聚一流人才,掌握 EDA 核心技术,形成海内外研发梯队,围绕射频集成电路设计全流程的主要环节,规划了9款EDA 点工具,致力于研发完整的“射频 EDA 系列软件”。
产品的完整性全国领先,技术指标达到国际水平。公司将加速集聚全球EDA 人才,与产业龙头公司紧密合作,打造高质量的、完整的射频 EDA 工具链,填补国内空白,参与国际竞争。
2020年12月,九同方微电子获得华为旗下的哈勃投资。据企查查显示,当前哈勃科技投资持股15%。九同方微电子,成立于2011年,是一家专注 IC 设计服务的国际化软件公司。公司拥有16名留美博士核心研发团队,涵盖全球EDA 领域资深架构师和领先的 IC 设计专家。

芯华章 

芯华章科技股份有限公司成立于2020年3月,总部设于南京,是一家立足中国、面向全球的国产集成电路电子自动化(EDA)智能软件和系统公司。
芯华章核心成员均来自国际领先的 EDA、集成电路设计、软件以及人工智能企业,平均有20多年从业经验。并且,团队可基于经典验证经验和技术,启用全新的路径对 EDA 进行研发和创新,在当前最先进的软件工程方法学及高性能硬件架构的基础上, 融入最新的人工智能、机器学习和云计算等前沿技术,设计全新的软件系统架构和算法,打造面向未来的新一代 EDA 软件和系统。 

全芯智造

全芯智造成立于2019年9月,由国际领先的 EDA 公司Synopsys、国内知名创投武岳峰资本与中电华大、中科院微电子所等联合注资成立。公司注册资本 1 亿元人民币,总部位于合肥,在上海和北京设有分公司。
全芯智造汇集了一批 EDA、晶圆制造和人工智能等领域的领军人才,平均从业年限20年以上,具备覆盖制造产业链的专家知识,以及智能制造等落地经验。全芯智造致力于通过人工智能等新兴技术改造制造业,实现由专家知识到人工智能的进化。从制程器件仿真和计算光刻技术等 EDA 点工具出发,未来将布局打造大数据+人工智能驱动的集成电路智能制造平台。全芯智造公司已经与中科院微电子所等科研院所建立了良好的合作关系。 


除了上面说的EDA公司,其他一些国内EDA公司在这就不一一列举了,说完EDA公司,我们在来聊聊EDA的工具

3、 EDA工具

工程师利用 EDA 工具,将芯片的电路设计、性能分析、设计出 IC 版图的整个过程交由计算机自动处理完成,EDA 包括电路系统设计、系统仿真、设计综合、PCB 版图设计和制版的一整套自动化流程。

EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、ViewLogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时以可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。

1、电子电路设计与仿真工具

电子电路设计与仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。

下面简单介绍几款软件。

(1)SPICE(Simulation Program with Integrated Circuit Emphasis)

是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE(Personal—SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

(2)EWB(Electronic Workbench)软件

是InterActive ImageTechnologies Ltd在20世纪90年代初推出的电路仿真软件。目前普遍使用的是EWB5.2,相对于其它EDA软件,它是较小巧的软件(只有16M)。但它对模数电路的混合仿真功能却十分强大,几乎100%地仿真出真实电路的结果,并且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、逻辑转换器和电压表、电流表等仪器仪表。它的界面直观,易学易用。它的很多功能模仿了SPICE的设计,但分析功能比PSPICE稍少一些。

(3) Multisim软件

Multisim(旧名Electronics Workbench)是一款著名的电子设计自动化软件,与NI Ultiboard同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

其前身是加拿大EWB仿真软件,被美国NI公司收购之后,其性能得到了极大的提升。最大的改变就是:Multisim 9与LABⅥEW 8的完美结合

(4)文字MATLAB产品族

它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。MATLAB产品族具有下列功能:数据分析;数值和符号计算;工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛地应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。

2、PCB设计软件

PCB(Printed—Circuit Board)设计软件种类很多,如Protel;OrCAD;Viewlogic;PowerPCB;Cadence PSD;MentorGraphices的Expedition PCB;Zuken CadStart;Winboard/Windraft/Ivex-SPICE;PCB Studio;TANGO等等。目前在我国用得最多应属Protel,下面仅对此软件作一介绍。

Protel是PROTEL公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较早在国内使用,普及率最高,有些高校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Server(客户/服务器体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。Protel软件功能强大、界面友好、使用方便,但它最具代表性的是电路设计和PCB设计。

3、IC设计软件

IC设计工具很多,其中按市场所占份额排行为Cadence、Mentor Graphics和Synopsys.这三家都是ASIC设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供ASIC设计软件(熊猫2000);另外近来出名的Avanti公司,是原来在Cadence的几个华人工程师创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC设计。下出按用途对IC设计软件作一些介绍。

(1)设计输入工具

这是任何一种EDA软件必须具备的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述语言VHDL、Verilog HDL是主要设计语言,许多设计输入工具都支持HDL.另外像Active—HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工具大都可作为IC设计的输入手段,如Xilinx、Altera等公司提供的开发工具,Modelsim FPGA等。

(2)设计仿真工作

我们使用EDA工具的一个最大好处是可以验证设计是否正确,几乎每个公司的EDA产品都有仿真工具。Verilog—XL、NC—verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模拟电路仿真。Viewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS—verilog仿真器。Mentor Graphics有其子公司Model Tech出品的VHDL和Verilog双仿真器:Model Sim.Cadence、Synopsys用的是VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。

(3)综合工具

综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。另外最近美国又出了一家软件叫Ambit,说是比Synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy.随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsys的FPGA Express,Cadence的Synplity,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。

(4)布局和布线

在IC设计的布局布线工具中,Cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是Cadence spectra,它原来是用于PCB布线的,后来Cadence把它用来作IC的布线。其主要工具有:Cell3,Silicon Ensemble—标准单元布线器;Gate Ensemble—门阵列布线器;Design Planner—布局工具。其它各EDA软件开发公司也提供各自的布局布线工具。

(5)物理验证工具

物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面Cadence也是很强的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。

(6)模拟电路仿真器

前面讲的仿真器主要是针对数字电路的,对于模拟电路的仿真工具,普遍使用SPICE,这是唯一的选择。只不过是选择不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE现在被Avanti公司收购了。在众多的SPICE中,最好最准的当数HSPICE,作为IC设计,它的模型最多,仿真的精度也最高。

4、PLD设计工具

PLD(Programmable Logic Device)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现。生产PLD的厂家很多,但最有代表性的PLD厂家为ALTERA、Xilinx和Lattice公司。

PLD的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂性也随之提高,目前由专门的软件公司与器件生产厂家合作,推出功能强大的设计软件。

下面介绍主要器件生产厂家和开发工具

(1)ALTERA 20世纪90年代以后发展很快。主要产品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其开发工具—MAX+PLUS II是较成功的PLD开发平台,最新又推出了Quartus II开发软件。Altera公司提供较多形式的设计输入手段,绑定第三方VHDL综合工具,如:综合软件FPGA Express、Leonard Spectrum,仿真软件ModelSim.

(2)ILINX FPGA的发明者。产品种类较全,主要有;XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vertex—II Pro器件已达到800万门。开发软件为Foundation和ISE.通常来说,在欧洲用Xilinx的人多,在***和亚太地区用ALTERA的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲Altera和Xilinx共同决定了PLD技术的发展方向。

(3)Lattice—Vantis Lattice是ISP(In—System Programmability)技术的发明者,ISP技术极大地促进了PLD产品的发展,与ALTERA和XILINX相比,其开发工具比Altera和Xilinx略逊一筹。中小规模PLD比较有特色,大规模PLD的竞争力还不够强(Lattice没有基于查找表技术的大规模FPGA),1999年推出可编程模拟器件,1999年收购Vantis(原AMD子公司),成为第三大可编程逻辑器件供应商。2001年12月收购Agere公司(原Lucent微电子部)的FPGA部门。主要产品有ispLSI2000/5000/8000,MACH4/5.

(4)ACTEL反熔丝(一次性烧写)PLD的领导得,由于反熔丝PLD抗辐射、耐高低温、功耗低、速度快,所以在军品和宇航级上有较大优势。ALTERA和XILINX则一般不涉足军品和宇航级市场。

(5)Quicklogic专业PLD/FPGA公司,以一次性反熔丝工艺为主,在中国地区销售量不大。

(6)Lucent主要特点是有不少用于通讯领域的专用IP核,但PLD/FPGA不是Lucent的主要业务,在中国地区使用的人很少。

(7)ATMEL中小规模PLD做得不错。ATMEL也做了一些与Altera和Xilinx兼容的片子,但在品质上与原厂家还是有一些差距,在高可*性产品中使用较少,多用在低端产品上。

(8)Clear Logic生产与一些著名PLD/FPGA大公司兼容的芯片,这种芯片可将用户的设计一次性固化,不可编程,批量生产时的成本较低。

(9)WSI生产PSD(单片机可编程外围芯片)产品。这是一种特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在线编程),集成度高,主要用于配合单片机工作。

PLD(可编程逻辑器件)是一种可以完全替代74系列及GAL、PLA的新型电路,只要有数字电路基础,会使用计算机,就可以进行PLD的开发。PLD的在线编程能力和强大的开发软件,使工程师可以在几天,甚至几分钟内就可完成以往几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。PLD技术在发达国家已成为电子工程师必备的技术。

5、其它EDA软件

(1)VHDL语言超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

(2)Veriolg HDL是Verilog公司推出的硬件描述语言,在ASIC设计方面与VHDL语言平分秋色。

(3)其它EDA软件如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域的工具,在此就不作介绍了。

到这里,相信诸位对EDA厂商及工具有了初步的认识。想了解更多有趣有料的信息

 欢迎扫码关注小F(ID:iamfastone)获取更多最新最全的信息。


我们有个 为应用定义的研发云平台

集成多种行业应用,大量任务多节点并行

应对短时间爆发性需求,连网即用

跑任务快,原来几个月甚至几年,现在只需几小时

5分钟快速上手,拖拉点选可视化界面,无需代码

支持高级用户直接在云端创建集群


扫码免费试用,送300元体验金,入股不亏~

更多电子书

欢迎扫码关注小F(ID:iamfastone)获取

你也许想了解具体的落地场景:
Auto-Scale这支仙女棒如何大幅提升Virtuoso仿真效率?

揭秘20000个VCS任务背后的“搬桌子”系列故事
5000核大规模OPC上云,效率提升53倍
从30天到17小时,如何让HSPICE仿真效率提升42倍?
国内超算发展近40年,终于遇到了一个像样的对手
ICCAD观后感 | EDA云平台49问
缺人!缺钱!赶时间!初创IC设计公司如何“绝地求生”
成长型IC企业必备:端到端快速交付的一站式IC研发设计平台

关于为应用定义的云平台:

和28家业界大佬排排坐是一种怎样的体验?
这一届科研计算人赶DDL红宝书:学生篇
杨洋组织的“太空营救”中, 那2小时到底发生了什么?
速石科技获元禾璞华领投数千万美元B轮融资
一次搞懂速石科技三大产品:FCC、FCC-E、FCP
速石科技成三星Foundry国内首家SAFE™云合作伙伴
Ansys最新CAE调研报告找到阻碍仿真效率提升的“元凶”
【2021版】全球44家顶尖药企AI辅助药物研发行动白皮书
国内超算发展近40年,终于遇到了一个像样的对手
帮助CXO解惑上云成本的迷思,看这篇就够了
花费4小时5500美元,速石科技跻身全球超算TOP500

相关推荐

发表评论

电子邮件地址不会被公开。 必填项已用*标注

微信扫一扫

微信扫一扫

微信扫一扫,分享到朋友圈

EDA厂商及工具概述
返回顶部

显示

忘记密码?

显示

显示

获取验证码

Close