速石科技_fastone_速石
  • 首页

  • 产品
  • 解决方案
  • 案例
  • BLOG

  • 资源中心
  • 关于速石
联系我们021-31263638

我们的用户

Clients

  • 帮助IC设计公司进行Cadence Spectre,Innovus芯片仿真,模拟IC验证

    IC设计公司

  • 帮助芯片代工厂进行Synopsys VCS,Synopsys OPC,Mentor Tessent芯片验证

    芯片代工制造商

前端设计

规格制定

-小规模独立任务,天然适用云端计算场景

形式验证/功能验证/STA

-偶尔突发大内存需求

逻辑综合

-大量计算资源消耗,高可并行任务为主,能有效利用云端资源加速完成芯片验证

后端设计

DFT/布局规划FloorPlan/CTS/布线Place&Route

-偶尔突发大内存需求

寄生参数提取/版图物理验证(LVS/DRC/ERC)

-大量计算资源消耗,高可并行任务为主,速石平台能有效加速该过程

制造

OPC&Mask Synthesis

-大量计算资源消耗,高可并行任务为主, 速石平台能有效加速该过程

我们支持的应用环境

Applications

EDA仿真IC验证软件Cadence InnovusInnovus(Cadence)
在线电路仿真验证软件Cadence SpectreSpectre(Cadence)
集成电路仿真芯片验证软件Synopsys RedhawkRedhawk(Synopsys)
芯片仿真IC验证软件Synopsys Star-RCStar-RC(Synopsys)

典型案例

Cases

EDA仿真云平台应用-模拟IC设计,Cadence仿真分析,Synopsys仿真计算;

某IC设计上市公司

利用速石平台运行逻辑仿真流程。400个任务运行时间,从14小时降低到1.5小时。提升研发效率30%+,提升运维效率20%

查看更多

EDA仿真云计算-芯片设计,Cadence仿真,slurm调度,EDA混合云平台;

某芯片Foundry

通过fastone算力运营平台与现有Portal集成,建设企业级一站式EDA设计云平台给内部及合作伙伴使用,降低采用云端方案的门槛并提供足够技术支持,利用云端方案的强大运算力和扩充性,协助产业运用云端运算环境,优化芯片设计的流程和效能

查看更多

EDA仿真云计算-IC设计,SGE调度,Synopsys仿真,多云一体化管理平台;

某跨国IC设计团队

基于国内北京、上海、成都多站点提供一套多云解决方案架构,整合多家云厂商计算资源,用户按需求选择相应区域合适机型和配置,降低计算成本,提高IT一体化管理和资源利用效率,加快交付周期

查看更多

EDA仿真云平-AI芯片设计, Synopsys/Cadence仿真软件上云

某AI芯片研发公司

基于fastone平台构建混合云架构。当本地静态1500个CORE不足时,按需调用云端计算资源,解决业务突发高峰时期需求。利用云端多种实例资源,满足前处理、后处理要求,例如后处理GPU资源。计算周期从3天降低至几个小时即可完成计算需求,输出结果

查看更多

数据表现示例

Data

我们提供的业务

Service

  • EDA云平台

  • EDA多云PaaS平台

  • 软硬一体算力解决方案

EDA云平台

深入半导体行业仿真与验证等高算力场景提供一站式云仿真验证平台,优化应用效率,智能调度云端算力资源,屏蔽底层IT技术细节

在线体验

EDA仿真云平台-提供芯片仿真验证,在线集成电路仿真,逻辑仿真等仿真计算

我们的优势

Advantages

在线电路仿真平台,aiops资源一体化IT运维管理
资源一体化

管理和监控

集成电路仿真ic验证,模拟芯片验证,auto-scale弹性计算按需使用
计算资源

按需弹性使用

ic验证芯片仿真,EDA多云平台计算集群智能调度系统
用户驱动的

多云智能调度策略

模拟IC验证芯片仿真运算过程,支持命令行和Web界面访问
支持命令行和WEB界面

两种访问资源的方式

Slurm/SGE/LSF调度器,Cadence Innovus/Synopsys Star-RC/VCS仿真不排队
Slurm/SGE/LSF

多调度器集成

集成Cadence Spectre,Mentor Tessent,华大九天Skipper,EDA仿真软件优化
EDA应用

集成与优化

  • 在线咨询

  • 公众号

    扫码关注我们

  • 微信咨询

    添加小F@速石科技

    说出你的应用

    我们就有故事

  • 免费试用

立即免费试用

限时领取 200元 体验金